E-COM-NET
首页
在线工具
Layui镜像站
SUI文档
联系我们
推荐频道
Java
PHP
C++
C
C#
Python
Ruby
go语言
Scala
Servlet
Vue
MySQL
NoSQL
Redis
CSS
Oracle
SQL Server
DB2
HBase
Http
HTML5
Spring
Ajax
Jquery
JavaScript
Json
XML
NodeJs
mybatis
Hibernate
算法
设计模式
shell
数据结构
大数据
JS
消息中间件
正则表达式
Tomcat
SQL
Nginx
Shiro
Maven
Linux
#Verilog
《system
verilog
验证》阅读笔记 -- 数据类型
数据类型內建数据类型logic双状态四状态带符号无符号双精度浮点数多维数组循环嵌套的例子合并数组和非合并数组动态数组队列关联数组链表数组的方法sumproduct积andorxorminmaxuniquefindfind_firstfind_lastfind_first_indexfind_last_indexsortrsortreverseshuffle枚举枚举的方法firstlastnextn
亓磊
·
2020-07-15 00:30
verilog
ISE添加IP核并仿真
软件,新建工程:File->Newproject,然后填入工程名及工程路径2.工程设置,根据FPGA板子设置3.加入设计文件,在Design一栏中的Hierarchy中右键,选择Newsource->
Verilog
Module
孤胆星君
·
2020-07-15 00:05
Problems with wires declared inside
verilog
generate blocks
http://stackoverflow.com/questions/22200666/problems-with-wires-declared-inside-
verilog
-generate-blocksWithinagenerateblock
tingtang13
·
2020-07-14 23:36
systemverilog
verilog
中generate for和普通for语句的区别
I'mtryingtounderstandwhyweusegeneratein
verilog
alongwithaforloop.Usingagenerateandforlooptogether:reg[
shnhwdj1984
·
2020-07-14 22:40
技术
vivado simulation仿真(38译码器实现)
第二步添加designsouce这一步就是写我们要测试的
Verilog
模块,因为只是仿真,所以我们不需要综合和实现,也因此我个人认为,在designsouce里面的文件就不需要按照顶层到底层的方式写,而是将需要测试的模块都放在里面
朽木白露
·
2020-07-14 21:28
vivado
verilog
学习日志(一)
verilog
的基本语法(一)一个模块包括两部分:一个是接口,另一部分是描述逻辑单元(定义输入如何影响输出)每个
verilog
程序包括4个部分:端口定义,I/O说明,内部信号声明,功能定义端口定义:模块的端口声明了模块的输入输出口格式
chargogo
·
2020-07-14 21:08
verilog
Vivado HLS设计流程及实例演示
1、传统的RTL设计流程传统用于FPGA设计的方法都是基于RTL描述的,RTL即基于
Verilog
/VHDL等硬件描述语言直接对所需要实
whustxsk
·
2020-07-14 19:43
Vivado
HLS
System_
Verilog
打印格式
system_
verilog
displayformat1.简介$display和$write的区别:$display系的系统函数:会在输出的末尾自动添加换行符(newlinecharacter);$write
我不是悍跳狼丶
·
2020-07-14 19:48
Syntax
SystemVerilog
verilog
语法之generate语句的基本认识
c语言中常用for语句来解决此类问题,
verilog
则为我们提供了generate语句。二、generate的基本概念及语法generate语句的最主要功能就是对module
小蒋啊
·
2020-07-14 19:50
fpga
重温FPGA设计流程(七、纯
Verilog
实现数字频率计)
软件:Vivado2017.4板卡:Ego1型号:xc7a35tcsg324-1七、纯
Verilog
实现数字频率计hz_counter_top.v`timescale1ns/1psmodulehz_counter_top
李老狗在看FPGA
·
2020-07-14 19:01
FPGA
重温FPGA设计流程(五、调用DDS IP核产生正弦波)
根据输出频率的计算公式,相位步进间隔=(fout/fdds_in)*2^N(N为前面相位的位宽),所以如果用系统的频率作为DDS的输入频率,相位步进间隔=(1k/100M)*2^16=0.65536,显然这在
verilog
李老狗在看FPGA
·
2020-07-14 19:01
FPGA
重温FPGA设计流程(六、纯
Verilog
实现数字钟)
软件:Vivado2017.4板卡:Ego1型号:xc7a35tcsg324-1六、纯
Verilog
实现数字钟clock1_top.v`timescale1ns/1psmoduleclock1_top(
李老狗在看FPGA
·
2020-07-14 19:01
FPGA
QuartusII编译时会遇到的问题
方法:编辑vectorsourcefile2.
Verilog
HDLassignmentwarn
black111111111111
·
2020-07-14 18:57
FPGA
02_PYNQ Library详解 - PS与PL接口
可进qq群进行相关
Verilog
知识交流:1073030956前言USB端口和其他的标准接口可以连接现成的USB和其他外部设备到ZynqPS上,并可以通过Python/Linux进行操控。
悟影生
·
2020-07-14 18:40
PYNQ-Z2
国内数字IC设计、数字前端校招要求(华为紫光复旦微)
岗位要求1、微电子、计算机、通信工程、自动化、电磁场等相关专业;2、符合如下任一条件者优先:(1)熟悉VHDL/
Verilog
、SV
king阿金
·
2020-07-14 18:54
Verilog
测试:TestBench结构
目录1.完整的TESTBENCH文件结构2.时钟激励产生3.复位信号设计4.双向信号设计5.特殊信号设计6.仿真控制语句以及系统任务描述7.加法器的仿真测试文件编写
Verilog
功能模块HDL设计完成后
风中少年01
·
2020-07-14 17:06
Verilog
Verilog
设计:频率检测模块
频率计频率计又称频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。基准时钟:通常就是FPGA板上的晶振,一般FPGA开发板会提供一个50Mhz的晶振作为时钟源。计数法计数法:直接计数单位时间内被测信号的脉冲数量;这种方法测量精度高、速度快,适合不同频率、不同精度的测频需要。适合不同频率指的是一般的频率计在测高频信号和低频信号时的误差不一致,而采用计数法就能很好地适应不同频率的要求。理想条
风中少年01
·
2020-07-14 17:05
Verilog
海思小兵带你快速了解System
Verilog
和Java、C++的异同点,以及对IC做了什么特殊支持
1、什么是System
Verilog
?
源计划猿
·
2020-07-14 17:08
基础
芯片
System
Verilog
Quartus II 修改工程名称和顶层实体名称
修改工程名称流程:1.删除文件及文件夹,只剩下.VHD、.
Verilog
等设计文件和.qpf、.qsf两个文件。2.将.qpf和.qsf两个文件的文件名修改为目标工程名。
qingfengliema
·
2020-07-14 16:37
FPGA
Vivado2015长时间使用至2037年
Vivado2015可长时间使用教程前言Vivado下载与安装Vivado下载安装步骤license下载与使用license下载链接使用教程结尾前言大二下学期选了一门专业选修课----
Verilog
HDL
Chu_Wang
·
2020-07-14 16:38
实用教程
Verilog
Vivado
实用技能
FPGA数字信号处理(六)直接型IIR滤波器
Verilog
设计
版权声明:本文为CSDN博主「FPGADesigner」的原创文章,遵循CC4.0BY-SA版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/FPGADesigner/article/details/80652480该篇是FPGA数字信号处理的第六篇,2-5篇介绍了DSP系统中极其常用的FIR滤波器。本文将简单介绍另一种数字滤波器——IIR滤波器的原理
neufeifatonju
·
2020-07-14 15:24
FPGA
信号处理
IIR
SOC设计及
Verilog
学习笔记六
Cortex-M3软硬件协同仿真设计(Keil+ModelSim10.1):模块包括:M3_core、MEM、APB及所连外设、Matrix总线目标功能:软件驱动TIMER/GPIO地址分配:32位地址线APB及外设地址:0x40000000-0x4000FFFF共64K由HPORT[3:0]可知APB将PADDR32位地址分配成16*4K,每个外设占据4K存储空间;APB_MUX通过对PADDR
迷失的二向箔
·
2020-07-14 15:09
数字IC设计
FPGA学习(第10节)-模块的例化-
Verilog
层次化设计实现LED流水灯
转载自https://blog.csdn.net/fengyuwuzu0519/article/details/72640900一、回顾之前我们学习了FPGA学习(第3节)-
Verilog
实现LED流水灯
Daniel雨林
·
2020-07-14 14:30
Verilog
FPGA
Idea->行为级描述->rtl描述->门级网标->物理版图
RTL级,registertransferlevel,指的是用寄存器这一级别的描述方式来描述电路的数据流方式;而Behavior级指的是仅仅描述电路的功能而可以采用任何
verilog
语法的描述方式。
linuxheik
·
2020-07-14 13:07
Verilog
RTL
cpu
vivado学习之自定义IP和调用自定义IP和的步骤
4、单击Finish,完成
Verilog
文件的创建。5、在sources中找到自己新建的
verilog
文件,编写代码。6、单击Tools—>Createandpac
herryone123
·
2020-07-14 13:51
Vivado
vivado使用心得(吐槽)
这个学期主要是用vivado写CPU,用
verilog
HDL硬件编程语言,预计这个学期也会遇到各种各种坑,所以打算把每个坑都记录下来,算是记录一下遇到的各种问题和解决方案。
有些时候甚至幼稚
·
2020-07-14 12:42
vivado使用中遇到的坑
ISE打开
verilog
工程无法显示源文件问题
问题1:windows主题不对打开工程后,双击工程管理区的源文件,在源文件编辑区不显示源文件,只显示一个类似于对话框的最下面的滚动条部分,而且这个还像一个逃逸按扭似的,你用鼠标根本点击不到它,你在它上面一点击,它就到别的地方了。如下图所示:此问题是由于windows主题选择不对,解决办法当然是更改windows主题即可。右击桌面——属性,如下图:将主题选择为windowsXP或其它合适的主题即可解
jbb0523
·
2020-07-14 12:23
ISE&ModelSim使用
[SV]
Verilog
中用generate對module進行複製
Verilog
中用generate對module進行複製前言:在设计中,很多情况下需要编写很多结构相同但是参数不同的赋值语句或者逻辑语句,如果在参数量很大的的情况下,原本的列举就会显得心有余而力不足。
gsithxy
·
2020-07-14 10:19
SystemVerilog
VIVADO与VCS联合搭建仿真环境
首先通过add_files添加文件,然后通过set_propertyfile_type{
Verilog
Header}[get_filesxxx]将其设置为头文件。当有些头
outlier001
·
2020-07-14 10:07
FPGA小例程
`include在
Verilog
中的应用
Verilog
HDL语言提供了`include命令用来实现"文件包含"的操作。
diaoguo3370
·
2020-07-14 09:21
开源wujian100-modlesim仿真
本文是使用windows下的modelsim对该项目进行仿真(原开源项目是使用linux下的开源软件i
verilog
进行仿真)。
design_logic
·
2020-07-14 09:48
RISCV
Lattice Diamond软件使用
一、
Verilog
语言结构module文件名(定义输入,定义输入,定义输出);assign电路描述语言;endmodule二、主程序编写及烧录1.编写主程序2.出硬件图(Tool->NetlistView
cristtting
·
2020-07-14 09:23
FPGA
Vscode自动生成
verilog
例化
前言veirlog模块例化的时候,辣么多的信号端子,手动例化又慢又容易出错,葵花妈妈开课啦,孩子手残老犯错怎么办?当然是脚本一劳永逸,妈妈再也不担心手残党。流程(1)在vscode中安装如下插件。(2)在电脑中安装python3以上的环境。下载地址:https://www.python.org/downloads/release/python-373/安装记得一定要勾选添加路径,记得管理员安装。重
小翁同学
·
2020-07-14 09:43
verilog
中可综合的task使用
参考:https://blog.csdn.net/CrazyUncle/article/details/86164830前言在进行多通道数据处理的时候,对于数据截位这样的操作,重复性的功能任务则可使用task进行预先定义,直接调用。减少代码量及代码出错概率及后期修改容易程度。流程(1)任务的语法格式:task;...endtask(2)任务注意事项:第一行task语句中不能列端口名称,system
小翁同学
·
2020-07-14 09:42
基2时抽8点FFT的matlab实现流程及FFT的内部机理
前言本来想用
verilog
描述FFT算法,虽然是8点的FFT算法,但写出来的资源用量及时延也不比调用FFTIP的好,还是老实调IP吧,了解内部机理即可,无需重复发明轮子。
小翁同学
·
2020-07-14 09:42
verilog
可综合function使用
参考博文:https://blog.csdn.net/qq_37147721/article/details/84889832?depth_1-utm_source=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-4&utm_source=distribute.pc_relevant.none-task-b
小翁同学
·
2020-07-14 09:11
IC前端设计工程师
IC前端设计指逻辑设计,前端主要负责逻辑实现,通常是使用
verilog
/VHDL之类语言,进行行为级的描述,当然,也会要使用一些仿真软件;IC后端设计指物理设计,主要负责将前端的设计变成真正的schematic
bleauchat
·
2020-07-14 08:33
IC设计相关
Vivado使用技巧(3):HDL/XDC中设置综合属性
因为某些属性,例如LOC约束适用于布线过程,因此必须保留该属性配置情况以用于之后的过程;本文将介绍Vivado综合工具支持的所有属性设置,并给出
Verilog
示例:1.ASYNC_REG将reg类型配置为可以在
bleauchat
·
2020-07-14 08:32
vivado使用相关
Vivado使用技巧(1):综合策略与设置的选择
Vivado开发套件中的综合工具是一款时序驱动型、专为内存使用率和性能优化的综合工具,支持System
Verilog
2012、
Verilog
2005、VHDL2008、混合语言中的可综合子集,以及XDC
bleauchat
·
2020-07-14 08:32
vivado使用相关
xilinx
verilog
语法技巧(二)--综合属性
xilinx
verilog
语法技巧(二)–综合属性在VivadoDesignSuite中,Vivado综合能够合成多种类型的属性。在大多数情况下,这些属性具有相同的语法和相同的行为。
微信公众号:FPGA开源工作室
·
2020-07-14 07:21
FPGA
FPGA语法
stm软件模拟SPI通信
参考文章:[1]STM32F10x_SPI(硬件接口+软件模拟)读写Flash(25Q16)[2]STM32软件模拟SPI时序驱动NRF24L01[3]SPI总线的原理与
Verilog
实现[4]模拟SPI
Utotao
·
2020-07-14 06:59
STM32单片机开发
CORDIC算法原理详解及其
Verilog
实现
CORDIC算法原理详解及其
Verilog
实现CORDIC简介算法实现1.已知坐标(x,y),求其向量对应的相角θ(反正切)和模值\color{#F00}{1.已知坐标(x,y),求其向量对应的相角θ(
黑麦威士忌
·
2020-07-14 05:09
数字IC
CORDIC
Verilog
Verilog
中关于for与generate for用法和区别的一点愚见
关于generatefor的总结见博文:
Verilog
中如何无误使用generatefor?
李锐博恩
·
2020-07-14 05:48
Verilog/FPGA
实用总结区
【 FPGA 】序列检测器的Mealy状态机实现
Verilog
HDL代码为:`timescale1ns/1ps/////////////////////////////////////////////////
李锐博恩
·
2020-07-14 05:47
#
全过程实现一个最简单的FPGA项目之PWM蜂鸣器控制
目录简单介绍:设计思路
Verilog
HDL硬件语言描述:语言检测引脚分配综合实现器件配置时间不饶人,我快速记录一下这个过程吧。
李锐博恩
·
2020-07-14 05:16
Verilog/FPGA
实用总结区
FPGA之道(35)
Verilog
中的并行与串行语句
文章目录前言
Verilog
的并行语句
Verilog
连续赋值语句普通连续赋值语句条件连续赋值语句
Verilog
程序块语句沿事件纯组合always纯时序always具有同步复位的always具有异步复位的always
李锐博恩
·
2020-07-14 05:16
#
FPGA之道精选
【 FPGA 】Vivado中常用的5个Tcl命令
cell可以是
Verilog
中的实例化后的模块,也可以是一些LUT、DSP48E等资源,它们有相应的pin,pin与pin之间的连线为net,port与pin不同,port是F
李锐博恩
·
2020-07-14 05:16
Verilog/FPGA
实用总结区
VIVADO无法生成比特流
VIVADO无法生成比特流今天在做
verilog
实验的时候,在生成比特流这一步,发生了如下报错报错如下:[DRCNSTD-1]UnspecifiedI/OStandard:10outof10logicalportsuseI
QinZheng7575
·
2020-07-14 05:32
FPGA入门学习第二天(点亮LED灯)
以及生成JIC配置文件,并固化到配置芯片中学习内容通过FPGA点亮开发板上的LED灯学习平台1.小精灵开发板2.QuartusII11.0(综合编译软件)原理分析我们还是通过经典的实验例程来掌握设计流程及
Verilog
Moon_3181961725
·
2020-07-14 05:58
FPGA学习日记
Verilog
中 function 的使用
Verilog
中function的使用函数的功能和任务的功能类似,但二者还存在很大的不同。在
Verilog
HDL语法中也存在函数的定义和调用。
Upsame
·
2020-07-14 04:47
FPGA
上一页
106
107
108
109
110
111
112
113
下一页
按字母分类:
A
B
C
D
E
F
G
H
I
J
K
L
M
N
O
P
Q
R
S
T
U
V
W
X
Y
Z
其他