DRAM存储器测试中的LVM和APG pattern

DRAM存储器测试中的LVM和APG pattern

引言

在动态随机存取存储器(DRAM)的制造流程中,晶圆探测(Chip Probing, CP)是一个至关重要的测试环节。其核心目标是在芯片被切割并封装之前,识别并筛选出有缺陷的裸片(die),从而最大化制造良率,并为晶圆厂的工艺控制提供关键的反馈数据 1。考虑到封装成本在整个芯片成本中占有相当大的比重,在封装前剔除不良品能够显著节约成本,这一经济驱动力使得CP测试的效率和有效性成为半导体制造商关注的焦点 5。

在执行CP测试时,自动测试设备(ATE)是不可或缺的核心工具。一个常被提出的技术问题是:为何在测试DRAM的核心存储阵列时,ATE普遍采用由算法图形发生器(Algorithmic Pattern Generator, APG)生成的测试图形(pattern),而非使用逻辑向量存储器(Logic Vector Module, LVM)中存储的测试图形?

本报告旨在深入剖析这一问题。我们将明确指出,对APG的偏好并非一种随意的选择或单纯的技术惯例,而是由DRAM独特的内部架构、其固有的故障模式、以及高产量制造的严苛经济性要求共同决定的必然结果。本文的核心论点是:APG与LVM并非相互排斥的竞争关系,而是在DRAM测试策略中扮演着相辅相成的角色。APG是为测试高度规整的存储阵列而量身定制的工具,而LVM则在测试芯片中非规整的外围逻辑电路时发挥其不可替代的作用 6。

为了全面阐述这一论点,本报告将遵循以下逻辑结构进行分析:

  1. DRAM的架构蓝图及其测试必要性:深入分析DRAM从基本存储单元到整体芯片的物理和逻辑结构,并阐明这些结构如何催生了特定的故障模式,从而定义了测试方法的基本要求。
  2. ATE图形生成引擎:详细对比APG和LVM这两种核心测试引擎的架构、工作原理和适用场景,揭示它们在设计哲学上的根本差异。
  3. APG在DRAM CP测试中的决定性作用:通过定量分析和故障覆盖有效性评估,论证为何APG是测试DRAM存储阵列唯一可行且高效的方案。
  4. 整体视角下的LVM角色:阐明LVM在DRAM测试流程中的补充作用,展示现代ATE如何通过混合测试策略实现对整个DRAM芯片的全面覆盖。
  5. 未来发展轨迹与建议:探讨新兴存储器技术、人工智能以及硅生命周期管理对未来测试方法学的影响,并为测试项目开发提供战略性建议。

通过这一系列深入的分析,本报告旨在为半导体测试工程师、VLSI设计工程师以及相关领域的技术管理者提供一个关于DRAM测试方法选择的权威、详尽的技术参考。


第一部分:现代DRAM的架构蓝图及其测试必要性

要理解DRAM的测试方法,必须首先理解其内部构造。DRAM芯片的物理和逻辑结构是决定其测试策略的首要因素。其高度规整、高密度的特性,与通用逻辑芯片的随机、非规整性形成鲜明对比,这直接导致了两者在测试方法学上的根本分歧。

1.1 存储阵列的解剖学:从单元到系统

现代DRAM芯片是一个复杂的系统,其核心是存储阵列,但同时也包含大量支持其运行的外围电路。

1.1.1 1T1C单元:基础与脆弱性

DRAM的基础构建模块是“单晶体管-单电容”(1T1C)存储单元 8。每个单元通过一个微型电容器中存储的电荷状态来表示一个二进制位(bit)——充电状态代表‘1’,放电状态代表‘0’。一个MOS晶体管则充当开关,控制对电容器的访问。这种设计的极致简约性是DRAM能够实现极高存储密度的关键。然而,这种简约也带来了固有的脆弱性:电容器中的电荷会随着时间推移而缓慢泄漏。为了防止数据丢失,DRAM中的数据必须被周期性地读取、放大并写回,这个过程被称为“刷新”(Refresh)9。刷新操作是DRAM“动态”(Dynamic)这一名称的由来,也是其区别于静态RAM(SRAM)的根本特征,同时它也引入了独特的故障模式,如数据保持(Data Retention)故障。

1.1.2 规整的二维阵列

数以十亿计的1T1C单元并非随机分布,而是被精心组织成一个巨大的、高度规整的二维矩阵,即存储阵列(Memory Array)8。在这个矩阵中,水平线被称为“字线”(Wordlines),用于选择一整行存储单元;垂直线被称为“位线”(Bitlines),用于读出或写入被选定行中特定列的数据 8。访问阵列中的任何一个比特都需要两步操作:首先,通过行地址激活(Activate)对应的字线,将该行所有单元的电荷状态转移到与各位线相连的“读出放大器”(Sense Amplifiers)上;然后,通过列地址选择特定的位线,从读出放大器中读出或写入数据 11。这种基于行列地址的访问机制是DRAM操作的核心,也是所有存储器测试算法的基础。

1.1.3 层次化组织结构

随着存储容量的增长,单个巨大的二维阵列在性能和功耗上变得不可行。因此,现代DRAM采用了复杂的层次化组织结构。以一个典型的16Gb DDR5芯片为例,其内部结构远不止一个阵列 13。多个存储阵列被组合成一个“存储体”(Bank),多个存储体又被组织成一个“存储体组”(Bank Group)10。一个DRAM芯片内部可能包含16个或32个存储体,分布在4个或8个存储体组中 14。

这种层次化结构带来了显著的性能优势。不同的存储体可以独立操作,允许在一个存储体进行预充电(Precharge)的同时,在另一个存储体执行读写操作,从而实现操作的流水线化和并行化,极大地提升了存储器带宽 10。然而,这也引入了新的故障域。故障可能局限于单个存储体,也可能影响整个存储体组,甚至跨越多个存储体组。因此,测试程序必须能够精确地针对不同的层次结构进行测试,例如执行“同存储体刷新”(Same Bank Refresh)或“全存储体刷新”(All Bank Refresh)等操作 14。

1.1.4 核心与外围:规整与非规整的二分法

DRAM芯片内部存在一个至关重要的架构二分法:规整的核心存储阵列非规整的外围逻辑电路。核心阵列是DRAM的主体,占据了芯片大部分面积,其结构高度重复、规整 15。然而,围绕着核心阵列的是一系列复杂的、功能各异的外围逻辑电路,其结构类似于标准的逻辑集成电路(SoC)中的逻辑模块 15。

这些外围逻辑电路包括:

  • 命令解码器(Command Decoder):负责解析来自内存控制器的命令,如激活(ACT)、读取(RD)、写入(WR)、预充电(PRE)等。
  • 地址解码器(Address Decoders):将外部提供的逻辑地址转换为物理的行地址和列地址,以驱动相应的字线和位线。
  • 模式寄存器(Mode Registers, MRs):用于配置DRAM的各种工作参数,如CAS延迟(CL)、突发长度(Burst Length)、驱动强度等。这些寄存器需要在初始化时被精确编程 11。
  • 读出放大器阵列(Sense Amplifiers):检测位线上的微弱电压变化,并将其放大为标准的逻辑0或1。
  • 输入/输出(I/O)电路和数据通路(Data Path):负责在芯片内外传输数据。

这种“规整核心 vs. 非规整外围”的架构二分法,是理解为何DRAM测试需要混合策略(APG + LVM)的根本原因。测试存储阵列和测试外围逻辑,需要截然不同的方法和工具。

1.2 高密度阵列中的故障模型

DRAM的物理结构直接催生了一系列独特的故障模型,这些模型远比逻辑电路中常见的“固定型”(Stuck-at)故障复杂。有效的测试必须能够精确地探测这些特定的故障。

1.2.1 超越固定型故障

虽然固定型故障(Stuck-at Fault, SAF),即某个单元永久固定为0或1,确实存在,但在DRAM中,更常见和更具挑战性的是与单元间相互作用和动态行为相关的故障 18。

1.2.2 地址与解码器故障 (AF)

地址解码器中的缺陷可能导致多种错误 18。例如,一个地址可能错误地映射到另一个地址的物理位置;或者多个逻辑地址映射到同一个物理位置;甚至某个地址可能无法访问任何物理位置。测试这类故障需要系统性地遍历地址空间,并验证每个地址的唯一性和正确性,例如“地址行走”(Address Walking)测试 18。

1.2.3 耦合与图形敏感故障 (CF, NPSF)

由于存储单元、字线和位线在物理上极为靠近,一个单元(“攻击单元”,Aggressor Cell)的状态变化或访问操作,可能会通过电容或电感耦合,意外地改变相邻单元(“受害单元”,Victim Cell)的存储状态 18。这类故障被称为耦合故障。当故障的发生依赖于受害单元周围多个邻居的特定数据组合时,则被称为邻域图形敏感故障(Neighborhood Pattern Sensitive Fault, NPSF)。要检测这些故障,测试程序必须在攻击单元中写入特定的数据图形(如棋盘格、反向棋盘格、条纹等),然后检查受害单元的数据是否被意外翻转。

1.2.4 干扰故障:行锤效应 (Row Hammer)

随着DRAM单元密度不断提高,单元间的电气干扰变得愈发严重,催生了一种名为“行锤”(Row Hammer)的严重故障模式 23。当某一行(“攻击行”)被以极高的频率反复激活(即快速连续地读写该行),其字线的电压波动会干扰到物理上相邻的行(“受害行”),导致受害行中的单元电荷加速泄漏,从而在没有被直接访问的情况下发生比特翻转(bit flip)24。

行锤效应是一个纯粹的物理干扰问题,是高密度带来的直接后果 23。测试行锤故障的挑战在于,它需要在刷新周期(通常为64ms)内对同一行进行数十万次甚至更多的激活操作 26。这种高频、重复的访问序列,正是算法化测试的用武之地 18。

1.2.5 数据保持故障 (Data Retention Faults)

数据保持故障指的是存储单元无法在规定的刷新间隔内(如64ms)保持其电荷状态而导致的数据丢失 29。这种故障的发生率对温度、电压以及周围单元的数据图形(Data Pattern Sensitivity)高度敏感。某些单元可能在某些时候表现正常,但在另一些时候其保持时间会缩短,这种现象被称为“可变保持时间”(Variable Retention Time, VRT)29。测试这类故障通常需要在写入数据后,引入一个较长的等待(Pause)时间,然后再进行读取,以检查数据是否能够被成功保持。

1.3 架构决定的测试必要性

DRAM的架构及其独特的故障模型,共同塑造了其测试方法必须遵循的几大原则,这些原则天然地指向了算法化的测试方案。

  • 结构化与重复性的测试图形:存储阵列的规整性,以及耦合、图形敏感等故障模型,要求测试图形本身也必须是高度结构化和重复的。例如,March系列算法(如March C-)的核心就是通过嵌套循环,以特定的顺序(升序或降序)“行进”(march)遍历整个地址空间,并对每个单元执行一系列预定义的读写操作序列 21。这种遍历和操作序列本身就是一个算法。
  • 基于地址的图形生成:许多关键的测试图形是与地址相关的。一个棋盘格图形需要在偶数地址写入‘1’,在奇数地址写入‘0’。一个行走‘1’(Walking Ones)图形则需要在每次迭代中,将地址码中的单个‘1’位移动到不同位置 18。这些都要求测试系统能够根据当前正在测试的地址实时计算出正确的激励数据和期望数据,而不是简单地回放一个预存的静态值。
  • 拓扑感知的地址变换:故障往往与单元的物理布局(拓扑结构)相关,而非逻辑地址。例如,逻辑地址上相邻的两个单元,在物理上可能相距甚远;而物理上相邻的单元,其逻辑地址可能毫无规律。为了有效地检测邻近耦合故障,测试需要能够将逻辑地址“扰乱”(Scramble)成物理拓扑地址进行访问。这种地址变换是一种复杂的数学运算,必须在测试时实时进行。
  • 无法承受的巨大规模:现代DRAM的容量已经达到Gb级别。一个16Gb的DRAM拥有超过170亿(16×230)个存储单元。即使是复杂度为线性 O ( N ) O(N) O(N)的March C-算法,也需要执行大约10次操作/单元,总操作次数达到千亿级别 21。如果将每一次操作(即对所有相关引脚施加的激励和期望响应)都定义为一个独立的测试向量并存储起来,其数据量将达到拍字节(Petabyte)级别,这远远超出了任何现有ATE的存储能力。

综上所述,DRAM的测试并非简单地向芯片输入一串预先定义好的‘0’和‘1’。它是一个复杂的、动态的过程。这个过程需要遍历巨大的地址空间,根据地址实时生成数据,执行复杂的地址变换,并重复执行结构化的读写序列。这种过程化的本质,决定了测试DRAM存储阵列必须采用算法驱动的方法。ATE中的APG,正是为了实现这种算法驱动测试而设计的专用硬件引擎。试图用存储静态向量的LVM来完成这项任务,无异于缘木求鱼,在技术和经济上都是不可行的。


第二部分:ATE图形生成引擎:两种架构的剖析

自动测试设备(ATE)为了应对不同类型芯片的测试需求,内部集成了多种图形生成引擎。在DRAM测试的语境下,最核心的两种引擎便是算法图形发生器(APG)和逻辑向量存储器(LVM)。它们代表了两种截然不同的测试哲学:实时计算与预存回放。

2.1 算法图形发生器 (APG):计算引擎

APG并非一个存储设备,而是一个专为存储器测试设计的、高速的、可编程的硬件处理器 32。它的核心使命是在测试执行的每一个周期(cycle),根据预先编写的算法程序,实时地计算并生成施加到被测器件(DUT)上的测试图形。

2.1.1 核心原理与组件

一个典型的APG硬件模块包含以下关键组件 32:

  • 序列表(Sequencer):作为APG的“大脑”,它负责解释并执行测试工程师编写的算法程序。它包含程序计数器(Program Counter)、循环计数器(Loop Counters)和条件跳转逻辑,能够实现复杂的程序流程控制,如循环、子程序调用和条件分支。
  • 地址发生器(Address Generators):通常包含X、Y、Z三个独立的地址发生器。X和Y地址发生器通常用于生成存储阵列的行、列地址,支持递增、递减、固定等多种寻址模式。Z地址发生器则常用于生成数据背景图形或进行地址拓扑变换。
  • 算术逻辑单元(ALU):APG内置的ALU可以对地址和数据进行实时的算术和逻辑运算,例如地址的加减、异或、反转等,这是实现复杂地址扰乱(scrambling)和数据图形生成的关键。
  • 数据发生器(Data Generator):负责生成写入到存储单元的数据。它可以生成全0、全1、棋盘格、条纹等多种背景数据,并能与Z地址发生器的输出结合,生成更为复杂的数据图形。
2.1.2 算法编程

测试工程师使用一种专门的、类似于汇编语言的高级图形描述语言来编写APG程序 32。这种语言非常紧凑,几行代码就能描述一个极其漫长和复杂的测试序列。例如,一个简单的

LOOP指令就可以让ATE重复执行某个操作数百万甚至数十亿次,覆盖整个存储器的地址空间 32。

以下是一个概念性的、用于说明APG编程思想的March C-算法伪代码示例:

代码段

// March Element M0: { (w0) }
// Operation: Write 0 to all cells, in any order.
SET_DATA_BACKGROUND(0);
SET_ADDR_MODE(INC); // Set address to increment
LOOP N { // Loop N times, where N is memory size
WRITE_CYCLE; // Execute a write cycle with current address and data
}

// March Element M1: { up(r0, w1) }
// Operation: Read 0, then write 1, ascending address order.
SET_DATA_BACKGROUND(1);
SET_ADDR_MODE(INC);
LOOP N {
READ_EXPECT(0); // Execute a read cycle, expect 0
WRITE_CYCLE; // Execute a write cycle with current address and data
}

// March Element M2: { up(r1, w0) }
// Operation: Read 1, then write 0, ascending address order.
SET_DATA_BACKGROUND(0);
SET_ADDR_MODE(INC);
LOOP N {
READ_EXPECT(1); // Execute a read cycle, expect 1
WRITE_CYCLE; // Execute a write cycle with current address and data
}

//… Subsequent March elements M3, M4, M5 with descending address order…

这个简化的例子清晰地展示了APG编程的精髓:通过高级指令控制地址、数据和操作序列,用极小的代码量驱动海量的测试操作。这正是测试DRAM这种规整、大容量器件所需要的核心能力。

2.2 逻辑向量存储器 (LVM):高保真回放引擎

与APG的计算本质相反,LVM在ATE中扮演的角色是一个大容量、高速度的存储器,通常被称为“向量存储器”(Vector Memory)或“图形存储器”(Pattern Memory)6。它的工作原理是“存储-回放”模式。

2.2.1 核心原理与向量定义

LVM的功能是存储由外部工具(如ATPG软件或功能仿真器)预先计算好的、逐个周期(cycle-by-cycle)的测试向量,然后在测试执行时,以测试时钟的速率将这些向量逐一“流式”传输到DUT的引脚上 32。

一个“向量”(vector)是对ATE在单个测试周期内所有相关DUT引脚状态的“快照”式描述。对于一个有100个有效引脚的器件,一个向量就是一行包含100个比特位的数据,每个比特位定义了对应引脚在该周期的具体操作,例如:驱动高电平(1)、驱动低电平(0)、期望高电平(H)、期望低电平(L)、高阻态(Z)等 32。这种向量被称为“扁平化逻辑向量”(flat logic vector),因为它不包含任何算法或循环逻辑,只是纯粹的状态描述。

2.2.2 图形来源与应用场景

LVM测试图形通常来源于对非规整逻辑电路的测试需求 6。例如:

  • ATPG生成的图形:用于测试标准逻辑电路中的结构性故障(如固定型、转换型故障),这些图形通常通过扫描链(scan chains)加载到芯片内部 38。
  • 功能仿真转换的图形:将设计验证阶段的功能仿真波形(如VCD文件)转换为ATE可执行的向量格式,用于验证芯片的功能是否与设计预期一致 40。

LVM的优势在于能够精确地复现任何任意的、非重复的输入激励序列。这使其成为测试状态机、控制逻辑、数据通路以及执行芯片初始化序列等任务的理想工具。

2.3 APG与LVM的比较分析

为了更直观地理解两者的区别,下表从多个维度对APG和LVM进行了系统性比较。这张表格是理解为何在DRAM CP测试中APG占据主导地位的关键。它不仅总结了技术上的差异,也预示了在经济性和故障覆盖能力上的巨大鸿沟。选择APG还是LVM,本质上是根据被测电路的结构特性来选择最合适的测试工具。对于DRAM的存储阵列,其规整性、巨大规模和算法化的故障模型,使得APG成为唯一的合理选择。

特性 (Feature) 算法图形发生器 (APG) 逻辑向量存储器 (LVM)
工作原理 基于算法和规则进行实时、动态生成 32。 存储并顺序回放预先计算好的、显式的向量 6。
主要目标电路 高度规整、可寻址的结构(如存储阵列)7。 非规整结构(如随机逻辑、控制逻辑、状态机、扫描链)6。
ATE向量存储器使用 极少。仅存储紧凑的算法代码(kB到MB级别)32。 极高。需要存储整个测试序列的每一个向量(MB到GB级别)36。
测试数据量 非常低。几千字节的代码可以生成太比特(Terabits)级别的测试数据 32。 非常高。与图形长度和引脚数量成正比 37。
图形复杂度 擅长处理复杂的、地址相关的、拓扑相关的图形(March、Galloping、Row Hammer)18。 仅限于预存向量的序列。存储复杂的地址相关图形在实际上是不可行的 32。
灵活性与修改 高。通过修改算法参数(如地址范围、数据背景)即可轻松改变图形 32。 低。任何修改都需要重新生成并加载整个向量集 32。
典型故障覆盖 地址故障、耦合故障、图形敏感故障、数据保持故障 18。 固定型故障、转换故障、功能逻辑故障 38。

第三部分:决定性因素:为何APG对DRAM CP测试至关重要

虽然APG和LVM在ATE中并存,但在DRAM核心阵列的CP测试中,APG的主导地位是毋庸置疑的。这背后有两大决定性因素:一是压倒性的经济效益,二是无可比拟的故障检测效能。这两点共同构成了选择APG而非LVM的根本原因。

3.1 测试经济学:向量存储器瓶颈与测试成本(CoT)

在商品化芯片(如DRAM)的大批量生产中,测试成本(Cost of Test, CoT)是影响最终产品利润率的关键因素之一 44。CoT主要由ATE的资本折旧成本和测试时间成本构成 46。从这两个角度分析,使用LVM测试DRAM阵列在经济上是完全不可行的。

3.1.1 LVM的不可行性:一个数量级的估算

我们可以通过一个简单的“信封背面”计算,来直观地感受LVM在DRAM测试中的数据量问题。

  • 测试对象:一个标准的16Gb(吉比特)DRAM芯片。其存储单元数量为 16×230,约等于 1.72×1010 个比特。
  • 测试算法:采用一个中等复杂度的March C-算法,其测试长度约为 10N(N为存储单元数量),即需要对每个地址执行约10次读写操作 21。
  • 总操作次数:总测试操作(向量)数量约为 1.72×1010×10=1.72×1011 次。
  • 向量大小:假设DRAM的测试接口涉及64个引脚(包括地址、数据、控制信号),每个引脚的状态可以用几个比特表示,为简化计算,我们假设每个向量需要64比特,即8字节(Byte)。
  • 总数据量:所需的LVM存储容量为 1.72×1011 vectors×8 bytes/vector≈1.376×1012 bytes,即 1.376 拍字节 (Petabytes)

这个结果是惊人的。现代高端ATE的向量存储器深度,即使是针对最复杂的SoC,通常也仅在数百兆字节(MB)到几个吉字节(GB)的范围内 36。例如,Advantest V93000的Pin Scale 5000数字卡虽号称拥有市场上最深的向量存储器,其容量也远未达到PB级别 49。Teradyne UltraFLEX的UP1600卡提供每引脚496MB的模式存储器 36。显然,用LVM存储DRAM阵列测试所需的全部向量,在物理上是不可能的。

3.1.2 APG的经济优势

与LVM的天文数字相比,APG的优势显而易见。它将这个PB级的测试数据存储问题,转化为一个仅需几千字节(kB)算法代码的编程问题 32。这从根本上解决了“向量存储器瓶颈”问题,并直接降低了测试成本:

  • ATE资本成本:向量存储器是ATE中最昂贵的硬件资源之一 37。如果要求ATE配备PB级的存储器,其制造成本将高到无法接受。APG作为一个专用的、计算密集型而非存储密集型的硬件模块,其成本远低于同等测试能力所需的LVM 34。
  • 测试时间与吞吐量:测试时间不仅包括DUT的执行时间,还包括测试程序的加载时间。将PB级的数据从工作站加载到ATE中,可能需要数天甚至数周,这将导致ATE设备严重闲置,生产吞吐量趋近于零,这在高通量的DRAM生产中是致命的 37。而APG程序代码的加载时间几乎可以忽略不计。

因此,从经济角度看,APG不仅是更优的选择,而是唯一可行的选择。整个DRAM的商业模式——即通过大规模并行测试来降低单颗芯片的测试成本——都建立在APG技术的基础之上。没有APG,DRAM的低成本、高效率测试将无从谈起。

3.2 故障检测效能:与器件“对话”的能力

如果说经济性是APG的“硬约束”,那么其在故障检测上的高效能则是其“软实力”。DRAM的故障模型具有深刻的算法特性,而APG恰好能用“器件的语言”来描述和激发这些故障。

3.2.1 算法化故障需要算法化测试

DRAM的许多关键故障,如耦合故障和图形敏感故障,其本质是单元间的相互作用。测试它们,需要执行一个程序,而不仅仅是施加一个激励。例如,测试一个单元是否受到邻居的干扰,其测试流程是:

  1. 向目标单元写入一个初始值(如‘0’)。
  2. 在其所有物理邻居中写入特定的攻击图形(如全‘1’)。
  3. 重新读取目标单元,检查其值是否仍然为‘0’。
  4. 重复上述过程,使用不同的数据背景和攻击图形。

这个过程包含循环、条件判断(邻居位置)、地址变换(逻辑地址到物理地址)和数据生成,这本身就是一个算法。APG的指令集,如LOOP、ADDR_INC/DEC、ADDR_SCRAMBLE、DATA_INV,就是为了高效执行这类算法而设计的 33。而LVM只能存储这个过程执行后的最终结果——一长串扁平化的向量,完全丢失了其内在的逻辑和结构。

3.2.2 案例研究:行锤效应测试

行锤效应的测试是体现APG优越性的绝佳案例。如前所述,触发该效应需要在极短时间内对相邻的两行(攻击行)进行高频次的交替激活,以干扰夹在中间的受害行 18。

  • APG实现:使用APG,测试工程师可以编写一个非常紧凑的内循环来实现这一操作:
    代码段
    // Activate Row_A and Row_B K times (e.g., K=200,000)
    SET_ADDR_A(Row_A_Addr);
    SET_ADDR_B(Row_B_Addr);
    LOOP K {
    ACTIVATE_A;
    ACTIVATE_B;
    }

    这个循环由APG硬件在ATE内部以最高速度执行,能够产生足够的激活频率来诱发故障。

  • LVM实现:若要用LVM实现,则必须预先生成并存储 2×K 个向量。对于数十万次的激活要求 26,这意味着需要存储数百万个向量来测试一对相邻行。考虑到一个DRAM中有成千上万个行,测试所有可能的相邻行组合所需的数据量将再次回到天文数字的范畴。因此,APG是唯一能够在ATE上实际执行有效行锤测试的手段。

3.2.3 灵活性:特性化与Shmoo图

在芯片的研发和良率提升阶段,工程师需要对器件的性能边界进行特性化(Characterization),例如绘制Shmoo图。Shmoo图通过在二维坐标系上(通常是电压 vs. 频率)扫描参数,并对每个参数点执行测试,来可视化器件的合格(Pass)与失效(Fail)工作区域 53。

使用APG,这个过程非常高效。测试工程师只需在ATE控制软件中设置一个参数扫描循环,在每次循环中,通过修改APG程序的参数(如时钟周期、电源电压等),即可让APG动态生成对应条件下的测试图形。整个Shmoo图的绘制可以自动化、快速地完成。

而如果使用LVM,为Shmoo图上的每一个点生成并加载一个全新的、巨大的向量集,将是一个极其缓慢和繁琐的过程,完全不适用于需要快速迭代的特性化工作。

综上所述,APG之所以在DRAM阵列测试中不可或缺,是因为它在根本上匹配了DRAM的测试需求。从经济角度看,它打破了LVM的“向量存储器瓶颈”,使得大规模测试成为可能。从技术角度看,它提供了描述和检测DRAM复杂、算法化故障模型的原生能力,其灵活性和计算能力是LVM无法比拟的。可以说,APG是为测试存储器这种高度规整的器件而生的专用工具。


第四部分:整体视角:LVM在DRAM测试中的互补作用

尽管前文详细论证了APG在DRAM核心阵列测试中的主导地位,但这并不意味着LVM在DRAM测试中毫无用武之地。恰恰相反,一个全面、高效的DRAM测试程序,必然是APG与LVM协同工作的结果。用户查询中“APG替代LVM”的表述,实际上简化了两者之间复杂的、相辅相成的关系。要理解这一点,我们必须将视线从规整的存储阵列扩展到整个DRAM芯片,特别是其非规整的外围逻辑电路。

4.1 测试阵列之外:外围逻辑的挑战

如第一部分所述,DRAM芯片不仅包含存储阵列,还包括一系列功能复杂的非规整外围逻辑电路,如命令解码器、模式寄存器、控制逻辑和I/O接口 15。这些电路的测试需求与存储阵列截然不同:

  • 非规整性:这些电路没有存储阵列那样的重复性结构。它们的行为由特定的逻辑门和状态机决定,类似于一个小型SoC。
  • 测试图形的特点:测试这些逻辑电路需要的是短小、精确、且通常是非序列化的向量。例如,将芯片置于特定测试模式,可能需要在一个周期内向多个控制引脚施加一个特定的组合(如‘1011’)。写入模式寄存器(MRs)也需要精确的地址和数据序列,以配置CAS延迟、突发长度等关键参数 17。
4.1.1 APG在此处的局限性

试图使用APG来生成这些短小、特定的向量序列是极其低效和笨拙的。APG的设计初衷是执行大规模的循环和地址运算。让它生成一个与地址无关的、仅执行几个周期的特定向量,好比用一台超级计算机来计算“1+1”——虽然可行,但完全是大材小用,且编程实现会非常繁琐。

4.1.2 LVM的理想角色

这恰恰是LVM的用武之地。LVM作为高保真回放引擎,能够完美地执行这些任务 6。测试工程师可以轻松创建简短的LVM图形文件,用于:

  • 器件初始化与复位:在测试开始时,通过LVM施加精确的上电复位序列,确保芯片处于一个已知的、稳定的初始状态 56。
  • 模式寄存器编程:通过LVM发送一系列MRS(Mode Register Set)命令,精确地配置DRAM的工作模式,为后续的APG测试做好准备。
  • 命令接口功能测试:通过LVM发送各种合规或非合规的命令序列,验证命令解码器是否能正确识别和执行。
  • DC参数测试:执行开路/短路(Open/Short)测试、漏电流(Leakage)测试等,这些测试通常需要对特定引脚施加静态的电压或电流,并通过LVM来控制ATE的参数测量单元(PMU)完成 5。

4.2 混合测试策略:集二者之所长

现代ATE的强大之处,就在于其能够在一个统一的测试流程(Test Flow)中无缝地集成和切换APG与LVM这两种图形源 6。一个典型的DRAM CP测试流程,正是一个精心编排的混合策略的体现:

  1. [LVM阶段] 上电与初始化:ATE通过LVM向量,为DUT提供稳定的电源,并施加硬件复位信号。
  2. [LVM阶段] 模式配置:ATE通过LVM发送一系列MRS命令,将DRAM配置到第一个测试模式(例如,特定的时序参数和工作电压)。
  3. [APG阶段] 核心阵列测试 #1:ATE将控制权交给APG,执行一个长时间的、算法化的测试,如完整的March C-测试,以检测基本的存储单元故障。
  4. [LVM阶段] 模式重配置:测试完成后,ATE再次使用LVM发送新的MRS命令,将DRAM切换到第二个测试模式(例如,用于行锤测试的特定时序)。
  5. [APG阶段] 核心阵列测试 #2:APG再次接管,执行高强度的行锤测试算法,探测干扰故障。
  6. [LVM阶段] 功能与DC测试:最后,ATE可能再次使用LVM执行一些最终的功能性检查或DC参数测量,完成整个测试。

这种混合策略,将APG的计算效率用于测试规模庞大的存储阵列,同时利用LVM的精确控制能力来处理复杂的、非算法化的外围逻辑,实现了对整个DRAM芯片的全面、高效覆盖。因此,APG和LVM并非替代关系,而是分工明确的合作伙伴。LVM如同一个“指挥家”,负责设定场景、发出指令;而APG则是“演奏家”,负责完成核心的、高难度的演奏部分。

4.3 设计可测性 (DFT) 的演进:片上APG (MBIST)

随着芯片复杂度的提高,将测试功能直接集成到芯片内部的设计可测性(DFT)技术变得日益重要。对于存储器而言,最重要的DFT技术就是存储器内建自测试(Memory Built-In Self-Test, MBIST)20。

4.3.1 MBIST:APG的片上化身

MBIST的本质,是在DRAM芯片的硅片上直接集成一个简化的、专用的APG 20。一个典型的MBIST控制器包含:

  • 一个有限状态机(FSM)或微码引擎,作为测试算法的序列表。
  • 地址和数据生成器,用于产生测试激励。
  • 一个比较器,用于将读出的数据与期望值进行比较,并记录失效信息。

MBIST的出现,进一步印证了存储器阵列测试的算法化本质。它并没有改变“需要算法来测试存储器”这一基本原则,只是将执行算法的硬件从ATE“搬”到了DUT上。

4.3.2 MBIST对ATE角色的重塑

当DRAM芯片集成了MBIST功能后,ATE的测试角色发生了根本性的转变 5。ATE不再需要自己强大的APG来生成复杂的March或行锤图形。取而代之的是,ATE通过其LVM模块,经由一个标准的测试接口(如JTAG或IEEE 1500),向片上的MBIST控制器发送几条简单的命令,例如“启动测试”、“选择算法3”、“报告测试结果”等。

在这种模式下,ATE的APG被闲置,而LVM则成为与DUT交互的主要工具。ATE从一个“图形生成者”转变为一个“测试协调者和结果收集者”。

4.3.3 内建自修复 (BISR) 与ATE的互动

更进一步,内建自修复(BISR)技术将修复功能也集成到了芯片上。当MBIST检测到故障后,片上的内建冗余分析(Built-In Redundancy Analysis, BIRA)模块会实时分析故障信息,并计算出如何使用芯片上预留的冗余行或列来替换掉有缺陷的单元 60。

这个过程的最终产物是一个“修复签名”(Repair Signature),即描述了如何进行冗余替换的一组数据。这个签名需要被永久性地存储起来,通常是烧录在片上的一次性可编程熔丝(eFuse)或非易失性存储器中 20。ATE在此过程中扮演着关键的物理执行角色:

  1. ATE通过LVM启动MBIST和BISR流程。
  2. DUT自主完成测试、分析,并将最终的修复签名准备好。
  3. ATE从DUT读出修复签名,或者直接控制DUT的熔丝编程电路。
  4. ATE提供烧录熔丝所需的高电压或大电流,将修复方案永久固化在芯片上 62。

因此,BIST/BISR的趋势并没有削弱LVM的作用,反而在新的层面上强化了它——LVM成为控制片上复杂DFT逻辑(包括测试和修复)的关键接口。这一演进深刻地体现了测试责任的转移:从ATE的外部计算,转向DUT的内部分布式计算,而ATE则专注于提供控制、电源和物理编程支持。


第五部分:未来发展轨迹与建议

半导体技术日新月异,DRAM的测试方法也并非一成不变。面向未来的测试策略,必须能够应对新兴的芯片架构、利用先进的分析工具,并始终以整个产品生命周期为视角进行规划。

5.1 不断演进的架构:HBM、3D堆叠与新兴存储器

5.1.1 高带宽存储器 (HBM)

高带宽存储器(HBM)通过硅通孔(TSV)技术,将多个DRAM裸片垂直堆叠在一个逻辑基底裸片(Logic Base Die)之上,从而实现了极高的内存带宽 64。这种2.5D/3D异构集成架构对测试提出了新的、多层次的挑战,也更加清晰地划分了APG和LVM的应用领域。

  • DRAM裸片测试:在堆叠之前,每一个DRAM裸片都必须在晶圆级别经过严格的测试,以确保其为“已知合格裸片”(Known Good Die, KGD)。因为一旦堆叠完成,任何一个DRAM裸片的缺陷都将导致整个昂贵的HBM器件报废 65。这一阶段的测试与传统DRAM CP测试类似,依然严重依赖APG或片上MBIST来执行高效的阵列算法测试。
  • 逻辑基底裸片测试:逻辑基底裸片本身是一个复杂的SoC,包含了HBM的PHY物理层、内存控制器以及各种测试逻辑。它的测试方法与普通逻辑芯片无异,主要采用基于LVM的扫描链(Scan)测试和功能测试 67。
  • 堆叠后测试:HBM器件封装完成后,测试的重点转向验证裸片之间的连接,即TSV和微凸点(micro-bumps)的完整性。这通常通过激活PHY内置的环回(Loopback)测试模式来完成 69。这些测试模式的启动和控制,是通过LVM发送特定的指令序列,或通过IEEE 1838/1500等标准测试接口来管理的 72。

HBM的架构,从物理上将规整的存储器(DRAM裸片)与非规整的逻辑(基底裸片)分离开来,为“APG/BIST测试存储器,LVM/Scan测试逻辑”这一核心原则提供了最直观的物证。

5.1.2 新兴存储器 (MRAM, ReRAM)

磁性随机存取存储器(MRAM)、电阻式随机存取存储器(ReRAM)等新兴非易失性存储器技术,正作为嵌入式闪存的替代品和未来通用存储器的候选者而兴起 73。它们的物理原理和故障机制与DRAM有显著不同,因此也需要定制化的测试算法 76。

  • MRAM测试的特殊性:MRAM的读写依赖于磁隧道结(MTJ)的电阻变化,其读窗口(高低阻态的差异)相对较小,且对工艺变化敏感 63。因此,MRAM的测试除了常规的存储阵列故障检测外,还必须包含一个额外的“修调/校准”(Trimming/Calibration)步骤,用于优化读写偏置电压等参数。此外,MRAM还有其独特的故障模型,如编程/擦除掩膜故障(Program/Erase Mask Faults),需要使用专门定制的、但本质上仍是算法化的March类测试来进行探测 63。
  • 对测试方法的影响:这些新兴存储器的测试需求,再次强化了对灵活、可编程的算法图形发生器的依赖。静态的、预存的LVM向量无法应对这种需要实时校准和探测新型、复杂故障模式的挑战。无论是ATE上的APG,还是片上的BIST,其可编程性都是测试新兴存储器的关键。

5.2 人工智能与机器学习对测试优化的影响

人工智能(AI)和机器学习(ML)技术正被逐步引入到半导体设计和测试的各个环节,旨在提升效率、降低成本和提高质量。这并非要取代APG或LVM,而是作为一层更高级的“智能优化”叠加在现有方法之上。

  • AI驱动的测试流程优化:AI技术被用于自动化和优化从RTL到GDSII的整个设计流程,以达到更优的功耗、性能和面积(PPA)77。在测试领域,这意味着AI可以帮助工程师更智能地创建和应用测试图形。
  • 图形优化:像Synopsys的TSO.ai这样的工具,利用机器学习算法来探索ATPG或APG工具中庞大而复杂的参数空间(例如,不同的算法选项、压缩设置、时序配置等),以自动寻找能够以最少图形数量(即最短测试时间)达到目标故障覆盖率的最优参数组合 78。它优化的是生成图形的“过程”,而非图形本身。
  • 自适应测试 (Adaptive Test):通过实时分析测试数据,AI可以实现动态的、自适应的测试流程。例如,ATE可以在测试晶圆的某个区域时,如果ML模型根据早期测试结果预测该区域存在较高的缺陷风险,ATE可以自动切换到一套更严格、更耗时但覆盖率更高的APG算法,对该区域进行重点测试。反之,对于高质量区域,则可采用较快的测试算法以节省时间 77。这种实时决策和流程调整的能力,是基于静态LVM向量的测试流程无法企及的。
  • 硅生命周期管理 (SLM):SLM是一个更宏大的概念,旨在通过在芯片中植入各种传感器和监视器,收集其从设计、制造、测试到现场运行全生命周期的数据 81。通过分析现场设备(in-field)返回的老化、可靠性等数据,可以反过来指导制造测试环节。例如,如果发现某种特定的数据图形或访问模式在实际应用中更容易导致老化或故障,就可以利用AI来创建新的、更有针对性的APG测试算法,在出厂前就筛选出对该模式敏感的潜在不良芯片,从而显著提升产品的长期可靠性 83。

5.3 测试程序开发的战略建议

基于以上分析,为DRAM及未来存储器的测试工程师提出以下战略性建议:

  1. 拥抱混合模型:不应将APG和LVM视为非此即彼的选择,而应将其视为一个工具箱中的互补工具。设计测试程序时,应明确划分任务边界:使用LVM负责器件的初始化、控制逻辑验证和模式寄存器配置;利用APG或MBIST负责对核心存储阵列进行穷尽式、高效的算法测试。
  2. 深入理解架构:开发高效APG算法的前提,是深入理解被测DRAM的内部架构(存储体结构、地址映射方案、物理拓扑等)及其独特的故障模型。盲目套用通用算法可能会遗漏特定器件的关键缺陷。
  3. 贯穿生命周期的测试视角:测试策略的制定不应局限于CP或FT(Final Test)单一环节。应建立从CP、FT到系统级测试(SLT)的完整数据链。分析那些逃逸了ATE测试(ATE test escapes)但在SLT中被发现的故障,并将这些信息反馈用于改进和增强ATE的APG和LVM测试图形,形成质量闭环 85。
  4. 积极应对新技术:对于HBM、小芯片(Chiplet)和新兴存储器,测试工程师需要不断学习和掌握新的测试方法,包括裸片间的接口测试协议(如UCIe)、片上校准流程以及针对新材料和新结构的新型故障模型。

结论

本报告深入探讨了在DRAM的CP测试中,ATE为何优先采用APG算法生成的图形,而非LVM存储的图形。通过对DRAM架构、故障模型、ATE引擎原理以及测试经济学的多维度分析,我们可以得出以下明确结论:

  1. 架构决定论是核心原因。DRAM的核心是由数十亿个1T1C单元组成的、高度规整的二维阵列。这种结构决定了其主要的故障模式——如耦合故障、图形敏感故障和行锤干扰故障——本质上是算法化的,即故障的发生取决于单元间的物理位置关系、地址访问顺序和数据图形组合。要有效地检测这些故障,必须执行一个动态的、基于规则的测试过程,而非简单地施加一组静态的激励。APG作为一种实时计算引擎,其设计初衷正是为了高效执行这类算法化测试程序。
  2. 经济性与可行性是硬性约束。LVM作为一种基于存储回放的引擎,若用于测试整个DRAM阵列,将面临“向量存储器瓶颈”。一个16Gb DRAM的完整March测试所需的数据量可达拍字节(PB)级别,这在物理上和经济上都远远超出了任何现代ATE的能力范围。APG通过将海量测试向量压缩为几千字节的紧凑算法代码,从根本上解决了这个问题,是实现DRAM低成本、高通量测试的使能技术
  3. APG与LVM是互补而非替代关系。虽然APG主导了核心阵列的测试,但DRAM芯片中还包含非规整的外围逻辑电路(命令解码器、模式寄存器等)。这些电路的测试需要精确、短小的、非算法化的向量序列,这正是LVM的优势所在。因此,一个完整、高效的DRAM测试程序是一个精心设计的混合策略,它利用LVM进行设备初始化和控制逻辑测试,然后将控制权交给APG执行对核心阵列的深度算法测试。
  4. 技术演进强化而非颠覆了核心原则。无论是MBIST的出现(将APG片上化),还是HBM等3D堆叠技术的发展(在物理上分离了规整的存储裸片和非规整的逻辑裸片),这些行业趋势都在不断强化“用算法测试存储器,用向量测试逻辑”的核心原则。人工智能等新技术的引入,则是在此基础上提供了一个更高级的优化层,使APG和LVM的应用更加智能和高效。

综上所述,在DRAM的CP测试中,ATE使用APG算法而非LVM图形来测试核心阵列,并非一个简单的技术偏好,而是由器件物理架构、故障机理、测试经济性和ATE硬件能力共同决定的、逻辑严谨且不可动摇的工程决策。这种分工明确、协同工作的测试方法学,是现代半导体测试策略的基石之一。

引用的著作
  1. Wafer Probing: An Ultimate Guide - Wevolver, 访问时间为 六月 17, 2025, https://www.wevolver.com/article/wafer-probing
  2. Wafer Testing Essentials: The Role of RF Probes - Vinstronics - High-Quality RF Connectors,Coaxial Cable Assemblies and Adapters, 访问时间为 六月 17, 2025, https://vinstronics.com/the-role-of-rf-probes-in-wafer-testing/
  3. CP Test_CP Testing_SERVICE_SJSemiconductor(Jiangyin)Corp., 访问时间为 六月 17, 2025, https://en.sjsemi.com/service/23356/
  4. Chip Probing - 广西华芯振邦半导体有限公司, 访问时间为 六月 17, 2025, https://www.ihotchip.com/en/9.html
  5. Semiconductor testing, 访问时间为 六月 17, 2025, https://www.jyprobe.com/Blog-Detail.html?article_id=1519&pagenum=all&_l=en
  6. Magnum 2 | Memory Test Solution from Teradyne, 访问时间为 六月 17, 2025, https://www.teradyne.com/products/magnum-2/
  7. Interface apparatus and methods of testing integrated circuits using the same. Патент № US 0007906982 МПК G01R31/26 - Московский инновационный кластер, 访问时间为 六月 17, 2025, https://i.moscow/patents/US0007906982B1_20110315
  8. Dynamic random-access memory - Wikipedia, 访问时间为 六月 17, 2025, https://en.wikipedia.org/wiki/Dynamic_random-access_memory
  9. Memory cell (computing) - Wikipedia, 访问时间为 六月 17, 2025, https://en.wikipedia.org/wiki/Memory_cell_(computing)
  10. Introduction to DRAM (Dynamic Random-Access Memory) - Technical Articles, 访问时间为 六月 17, 2025, https://www.allaboutcircuits.com/technical-articles/introduction-to-dram-dynamic-random-access-memory/
  11. SDRAM Memory Systems: Embedded Test & Measurement Challenges - Tektronix, 访问时间为 六月 17, 2025, https://www.tek.com/en/documents/primer/sdram-memory-systems-embedded-test-measurement-challenges
  12. Understanding the DRAM: How does Computer Memory Work? - Stored Bits, 访问时间为 六月 17, 2025, https://storedbits.com/dram-working/
  13. LPDDR5 Tutorial - Deep dive into its physical structure - systemverilog.io, 访问时间为 六月 17, 2025, https://www.systemverilog.io/design/lpddr5-tutorial-physical-structure/
  14. 16Gb DDR5 SDRAM Addendum - Mouser Electronics, 访问时间为 六月 17, 2025, https://www.mouser.com/datasheet/2/671/16gb_ddr5_sdram_diereva-3193781.pdf
  15. DRAM | Applied Materials, 访问时间为 六月 17, 2025, https://www.appliedmaterials.com/us/en/semiconductor/markets-and-inflections/memory/dram.html
  16. DDR5 SDRAM, 访问时间为 六月 17, 2025, https://faculty-web.msoe.edu/johnsontimoj/ELE4142/files4142/DDR5_Spec.pdf
  17. 70166 - Zynq UltraScale+ MPSoC, PS DDR - How do I read the …, 访问时间为 六月 17, 2025, https://adaptivesupport.amd.com/s/article/70166?language=en_US
  18. How to do SoC DRAM Testing? - Chipress, 访问时间为 六月 17, 2025, https://chipress.online/2025/04/27/how-to-do-soc-dram-testing/
  19. A Survey on Dram Testing and Its Algorithms - IJCST, 访问时间为 六月 17, 2025, https://www.ijcstjournal.org/volume-2/issue-5/IJCST-V2I5P23.pdf
  20. Memory Testing: MBIST, BIRA & BISR - Algorithms, Self Repair Mechanism - eInfochips, 访问时间为 六月 17, 2025, https://www.einfochips.com/blog/memory-testing-an-insight-into-algorithms-and-self-repair-mechanism/
  21. Paper (Memory Test), 访问时间为 六月 17, 2025, https://www.eng.auburn.edu/~agrawvd/COURSE/E7250_05/REPORTS_TERM/Raghuraman_Mem.doc
  22. Stuck-Fault Tests vs. Actual Defects - ResearchGate, 访问时间为 六月 17, 2025, https://www.researchgate.net/publication/2861166_Stuck-Fault_Tests_vs_Actual_Defects
  23. Row hammer - Wikipedia, 访问时间为 六月 17, 2025, https://en.wikipedia.org/wiki/Row_hammer
  24. Triggering Rowhammer Hardware Faults on ARM: A Revisit - Vanderbilt University, 访问时间为 六月 17, 2025, https://cs.vuse.vanderbilt.edu/koutsoxd/www/Publications/p24-zhang.pdf
  25. (PDF) RowHammer: A Retrospective - ResearchGate, 访问时间为 六月 17, 2025, https://www.researchgate.net/publication/332946042_RowHammer_A_Retrospective
  26. Flipping Bits in Memory Without Accessing Them: An Experimental Study of DRAM Disturbance Errors - Electrical and Computer Engineering, 访问时间为 六月 17, 2025, https://users.ece.cmu.edu/~yoonguk/papers/kim-isca14.pdf
  27. Access methodology of Row Hammer Test (Test 13) - PassMark Support Forums, 访问时间为 六月 17, 2025, https://forums.passmark.com/memtest86/56795-access-methodology-of-row-hammer-test-test-13
  28. DSAC: Low-Cost RowHammer Mitigation Using In-DRAM Stochastic and Approximate Counting Algorithm - arXiv, 访问时间为 六月 17, 2025, https://arxiv.org/html/2302.03591v3
  29. The Efficacy of Error Mitigation Techniques for DRAM Retention Failures: A Comparative Experimental Study - Carnegie Mellon University, 访问时间为 六月 17, 2025, https://users.ece.cmu.edu/~omutlu/pub/error-mitigation-for-intermittent-dram-failures_sigmetrics14.pdf
  30. Memory testing methodologies, 访问时间为 六月 17, 2025, https://www.ece.tufts.edu/~karen/classes/final_presentation/memory_testing_Minghuan.pptx
  31. Memory Testing using March C-Algorithm - ::.International Journal of VLSI Design and Communication Systems.::, 访问时间为 六月 17, 2025, http://www.ijvdcs.org/uploads/524361IJVDCS2672-94.pdf
  32. MTP : New memory test solution enabled by software for true per-pin test processor architecture system - Advantest, 访问时间为 六月 17, 2025, https://www3.advantest.com/documents/11348/05ddfc0e-c6cc-4eb4-ab5a-b0b39406aa9b
  33. An Effective Parallel ALPG Using Instruction Unrolling for High Speed Memory Testing - Yonsei University, 访问时间为 六月 17, 2025, http://soc.yonsei.ac.kr/Abstract/International_conference/pdf/An%20Effective%20Parallel%20ALPG%20Using%20Instruction%20Unrolling%20for%20High%20Speed%20Memory%20Testing.pdf
  34. The Fundamentals of Digital Semiconductor Testing, 访问时间为 六月 17, 2025, https://file-host.wiki-power.com/semiconductor-test/The-Fundamentals-of-Digital-Semiconductor-Testing.pdf
  35. EP0859367A2 - Pattern generator with extended register programming - Google Patents, 访问时间为 六月 17, 2025, https://patents.google.com/patent/EP0859367A2/en
  36. Teradyne Ultraflex - C2MI, 访问时间为 六月 17, 2025, https://www.c2mi.ca/en/equipement/teradyne-ultraflex/
  37. ATPG PADDING AND ATE VECTOR REPEAT PER PORT FOR REDUCING TEST DATA VOLUME - CiteSeerX, 访问时间为 六月 17, 2025, https://citeseerx.ist.psu.edu/document?repid=rep1&type=pdf&doi=f21013db128461acf9733172b001e753f3d9efff
  38. Automatic test pattern generation - Wikipedia, 访问时间为 六月 17, 2025, https://en.wikipedia.org/wiki/Automatic_test_pattern_generation
  39. An Introduction to Scan Test for Test Engineers Part 1 of 2 - Advantest, 访问时间为 六月 17, 2025, https://www3.advantest.com/documents/11348/f717e957-0326-42d1-a13c-921059722fc6
  40. (PDF) Design for Testability of Circuits and Systems; An overview - ResearchGate, 访问时间为 六月 17, 2025, https://www.researchgate.net/publication/333699337_Design_for_Testability_of_Circuits_and_Systems_An_overview
  41. The VLSI Testing Process - ECE UNM, 访问时间为 六月 17, 2025, http://ece-research.unm.edu/jimp/vlsi_test/slides/html/overview1.htm
  42. The memory mix challenge - News - Silicon Semiconductor, 访问时间为 六月 17, 2025, https://siliconsemiconductor.net/article/67119/The_memory_mix_challenge
  43. Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression - SLD Group @ UT Austin - University of Texas at Austin, 访问时间为 六月 17, 2025, https://users.ece.utexas.edu/~touba/research/tcad14.pdf
  44. AI-Driven Test Optimization Solves Semiconductor Test Costs And Design Schedules, 访问时间为 六月 17, 2025, https://semiengineering.com/ai-driven-test-optimization-solves-semiconductor-test-costs-and-design-schedules/
  45. Test Costs Spiking - Semiconductor Engineering, 访问时间为 六月 17, 2025, https://semiengineering.com/test-costs-spiking/
  46. Parallel Test Reduces Cost of Test More Effectively Than Just A Cheap Tester - Advantest, 访问时间为 六月 17, 2025, https://www3.advantest.com/documents/11348/146387/Parallel_Test_Reduces_Cost_of_Test_u_CN.pdf/6055400a-c25d-4a7d-ac6e-a62473a1693c
  47. V93000|SoC Test Systems|ADVANTEST CORPORATION, 访问时间为 六月 17, 2025, https://www.advantest.com/en/products/semiconductor-test-system/soc/v93000/
  48. Verigy V93000 SOC Pin Scale 400 Digital Card - Utah Nanofab, 访问时间为 六月 17, 2025, https://www.nanofab.utah.edu/assets/nanofab/verigy-test/pin_scale_400_datasheet.pdf
  49. Advantest Introduces Evolutionary V93000 EXA Scale™ SoC Test System | News & Events (2020), 访问时间为 六月 17, 2025, https://www.advantest.com/en/news/2020/20200923.html
  50. SIMULATION REQUIREMENTS FOR VECTORS IN ATE FORMATS - CiteSeerX, 访问时间为 六月 17, 2025, https://citeseerx.ist.psu.edu/document?repid=rep1&type=pdf&doi=3cb419645318460f6d416ff6935f5140e48e96e7
  51. DRAM Test And Inspection Just Gets Tougher - Semiconductor Engineering, 访问时间为 六月 17, 2025, https://semiengineering.com/dram-test-and-inspection-just-gets-tougher/
  52. Vector memory addressable per vector | Download Scientific Diagram - ResearchGate, 访问时间为 六月 17, 2025, https://www.researchgate.net/figure/Vector-memory-addressable-per-vector_fig1_4058294
  53. Shmoo Analysis of Integrated Circuits using Machine Learning Algorithms - University of Texas at Austin, 访问时间为 六月 17, 2025, https://repositories.lib.utexas.edu/server/api/core/bitstreams/864a27d7-a119-493d-8afc-93bfcd19fe20/content
  54. Understanding Shmoo Plots and Various Terminology of Testers - Design And Reuse, 访问时间为 六月 17, 2025, https://www.design-reuse.com/article/61165-understanding-shmoo-plots-and-various-terminology-of-testers/
  55. Understanding and Testing DDR4 R-DIMM and LR-DIMM Technology - Renesas, 访问时间为 六月 17, 2025, https://www.renesas.com/en/video/understanding-and-testing-ddr4-r-dimm-and-lr-dimm-technology
  56. Testing DDR Memory; How On-Chip DFT Helps - IPC, 访问时间为 六月 17, 2025, https://www.ipc.org/system/files/technical_resource/E5%26S28_02.pdf
  57. Semiconductor technology and equipment: chip testing and equipment - Fountyl, 访问时间为 六月 17, 2025, https://www.fountyltech.com/news/semiconductor-technology-and-equipment-chip-testing-and-equipment/
  58. High-speed tester handles stacked memories - EE Times, 访问时间为 六月 17, 2025, https://www.eetimes.com/high-speed-tester-handles-stacked-memories/
  59. Multi Wafer Level Burn-in and Test Solutions - Aehr Test Systems, 访问时间为 六月 17, 2025, https://www.aehr.com/solutions/multi-wafer-level-burn-in-and-test-solutions/
  60. ReBISR: A reconfigurable built-in self-repair scheme for random access memories in SOCs, 访问时间为 六月 17, 2025, https://www.researchgate.net/publication/224608449_ReBISR_A_reconfigurable_built-in_self-repair_scheme_for_random_access_memories_in_SOCs
  61. Optimal Method for Test and Repair Memories Using Redundancy Mechanism for SoC, 访问时间为 六月 17, 2025, https://pmc.ncbi.nlm.nih.gov/articles/PMC8306510/
  62. WO2008133680A1 - Embedded memory repair on the basis of fuse burn state machine and … - Google Patents, 访问时间为 六月 17, 2025, https://patents.google.com/patent/WO2008133680A1/ko
  63. Testing Embedded MRAM IP for SoCs - Design And Reuse, 访问时间为 六月 17, 2025, https://www.design-reuse.com/articles/47623/testing-embedded-mram-ip-for-socs.html
  64. High Bandwidth Memory - Wikipedia, 访问时间为 六月 17, 2025, https://en.wikipedia.org/wiki/High_Bandwidth_Memory
  65. Mission Central: High Bandwidth Memory a Key Component of Advanced Packaging, 访问时间为 六月 17, 2025, https://www.formfactor.com/videos/high-bandwidth-memory-a-key-component-of-advanced-packaging/
  66. Wafer Test Challenges For Chiplets - Semiconductor Engineering, 访问时间为 六月 17, 2025, https://semiengineering.com/wafer-test-challenges-for-chiplets/
  67. Speeding Down Memory Lane With Custom HBM - Semiconductor Engineering, 访问时间为 六月 17, 2025, https://semiengineering.com/speeding-down-memory-lane-with-custom-hbm/
  68. Synopsys and Socionext, 访问时间为 六月 17, 2025, https://www.synopsys.com/content/dam/synopsys/solutions/slm/success-stories/socionext-and-synopsys-ss.pdf
  69. Building a robust HBM2 PHY - Rambus, 访问时间为 六月 17, 2025, https://www.rambus.com/blogs/building-a-robust-hbm2-phy/
  70. HBM3 Protocol Training | Learn High Bandwidth Memory Protocol - VLSI Guru, 访问时间为 六月 17, 2025, https://www.vlsiguru.com/hbm3-training/
  71. Verification IP for HBM (High Bandwidth Memory) - Synopsys, 访问时间为 六月 17, 2025, https://www.synopsys.com/verification/verification-ip/memory/hbm-verificationip.html
  72. Industry Standards for Chiplets and Their Role In Test - Teradyne, 访问时间为 六月 17, 2025, https://www.teradyne.com/2024/11/18/industry-standards-for-chiplets/
  73. Persistent memory to replace DRAM, but it could take a decade - The Register, 访问时间为 六月 17, 2025, https://www.theregister.com/2024/02/20/persistent_memory_to_replace_dram/
  74. Is It Time for MRAM to Shine? - EEJournal, 访问时间为 六月 17, 2025, https://www.eejournal.com/article/is-it-time-for-mram-to-shine/
  75. RRAM & MRAM: Non-Volatile Memory Explained | Synopsys Blog, 访问时间为 六月 17, 2025, https://www.synopsys.com/blogs/chip-design/rram-mram-non-volatile-memory.html
  76. Comparing New Memory Types - Semiconductor Engineering, 访问时间为 六月 17, 2025, https://semiengineering.com/comparing-new-memory-types/
  77. AI-Driven Chip Design: Dynamic, Adaptive Flows with Fusion Compiler | Synopsys, 访问时间为 六月 17, 2025, https://www.synopsys.com/blogs/chip-design/ai-chip-design-adaptive-flows.html
  78. TSO.ai | Synopsys, 访问时间为 六月 17, 2025, https://www.synopsys.com/content/dam/synopsys/solutions/ai/tso-ai-ds.pdf
  79. TSO.ai: AI-Driven Test Solution - Synopsys, 访问时间为 六月 17, 2025, https://www.synopsys.com/ai/ai-powered-eda/tso-ai.html
  80. Adaptive Memory - OpenWebUI Plugin - Reddit, 访问时间为 六月 17, 2025, https://www.reddit.com/r/OpenWebUI/comments/1jv9d49/adaptive_memory_openwebui_plugin/
  81. What is Silicon Lifecycle Management (SLM)? – How Does it Work? - Synopsys, 访问时间为 六月 17, 2025, https://www.synopsys.com/glossary/what-is-silicon-lifecycle-management.html
  82. Silicon Lifecycle Management (SLM) - International Defense Security & Technology, 访问时间为 六月 17, 2025, https://idstch.com/technology/ict/silicon-lifecycle-management-slm/
  83. Silicon Lifecycle Management Advances With Unified Analytics, 访问时间为 六月 17, 2025, https://semiengineering.com/silicon-lifecycle-management-advances-with-unified-analytics/
  84. Automotive Electronics Reliability Requires In-Field Silicon Monitoring, 访问时间为 六月 17, 2025, https://semiengineering.com/automotive-electronics-reliability-requires-in-field-silicon-monitoring/
  85. System Level Test (SLT) - Teradyne, 访问时间为 六月 17, 2025, https://www.teradyne.com/system-level-test/
  86. Chasing Test Escapes In IC Manufacturing - Semiconductor Engineering, 访问时间为 六月 17, 2025, https://semiengineering.com/chasing-test-escapes/
  87. ITC 2021 Final Program - International Test Conference, 访问时间为 六月 17, 2025, http://www.itctestweek.org/wp-content/uploads/2021/10/2021-Final-Program.pdf

你可能感兴趣的:(DRAM,集成测试)