VIVADO仿真保存波形数据

 integer dout_file;
 initial begin
    dout_file=$fopen("D:/DEMO/****************/test.csv");    //打开D盘DEMO目录下的test.csv
      if(dout_file == 0)begin 
                $display ("can not open the file!");    //创建文件失败,显示can not open the file!
                $stop;
       end
end

always @(posedge clk)
     if(data_valid)   //使能     
       $fdisplay(dout_file,"%h",dout); //%h16进制保存,dout的数据

可以使用

  (*KEEP = "TRUE"*)

保证变量不被优化。

你可能感兴趣的:(fpga仿真,fpga开发)