Verilog刷题笔记27

题目:
Given a 100-bit input vector [99:0], reverse its bit ordering.
Verilog刷题笔记27_第1张图片
解题:

module top_module( 
    input [99:0] in,
    output [99:0] out
);
    int i;
    always@(*)begin
        for(i=0;i<100;i++)
            out[i]=in[99-i];
    end
endmodule

结果正确:
Verilog刷题笔记27_第2张图片

你可能感兴趣的:(笔记)