使用VIVADO LICENSE 加密VHDL/Verilog 文件(一)

第一步:license获取

        到赛灵思官网申请IEEE1735 V2的license,或者通过赛灵思代理商申请。(建议后者,前者可能不会有回复)。

第二步,加载license,使能加密功能 。

第三步,根据需求创建密钥文件<命名txt> 。根据自身需求,更改是否加密仿真等情况,一般通过false true选择。文件下内容如下:

`pragma protect version = 2
`pragma protect encrypt_agent = "XILINX"
`pragma protect encrypt_agent_info = "Xilinx Encryption Tool 2021"

`pragma protect begin_commonblock
`pragma protect control error_handling = "delegated"
`pragma protect control child_visibility = "delegated"
`pragma protect control decryption = (activity==simulation)? "false" :"true"
`pragma protect end_commonblock

`pragma protect begin_toolblock
`pragma protect rights_digest_method="sha256"
`pragma protect key_keyowner = "Xilinx", key_keyname= "xilinxt_2021_01", key_method = "rsa", key_public_key
MIIBIjANBgkqhkiG9w0BAQEFAAOCAQ8AMIIBCgKCAQEApgf7F4kYh0oSFzJBRoRb
nsrAqn24fVbI7xdNG2t9G8pouFfwIXGGmQgYqYZDSmUu0wrrj3ulLvUnjRtmtziJ
1RDOYdyko1SuBEyGT1frzUu9xNitAXxp29hOrVPeKO6kGU81XHJCRJ7uWh7rwoyf
HSUpreifLybt+UT5fyvHu21IxvOR6GHKWaQ4wdL7Txguuyf92XLJIZABEgmuVlPK
/NjJjVRK3c/vMuQLvbihNapkyCiLIWNwDbo9oWXr7NSo3we8u6IlFmP5V8WcOmXZ
/PZqp3QOkY2Jlm1yQt3O8PpU/8qzB7zcHjm3+Q+wB8yUYn/IMwN0t09l2AdBR37G
EwIDAQAB
`pragma protect control xilinx_configuration_visible = "false"
`pragma protect control xilinx_enable_modification = "false"
`pragma protect control xilinx_enable_probing = "false"
`pragma protect control xilinx_enable_netlist_export = "false"
`pragma protect control xilinx_enable_bitstream = "true"
`pragma protect control decryption = (xilinx_activity==simulation)?"false" : "true"
`pragma protect end_toolblock = ""

第四步,在vivado conscole中输入TCL命令。

命令格式为:encrypt -key <密钥文件>  -ext <输出文件尾缀> -lang <源文件硬件描述语言> <源文件>

例如:encrypt -key C:/Users/YDQ/Desktop/key_files_ydq.txt -ext .sv -lang verilog E:/tmp/LMK04828_readback_test1/LMK04828_readback_test1.srcs/sources_1/new/rst_delay.v

注意,无 -ext<输出文件尾缀> 定义时,执行该命令会生成与源文件一样的加密文件,并将源文件覆盖。

你可能感兴趣的:(#,vivado,fpga开发)