实验报告:在DE2-115开发板上使用SystemVerilog编写流水灯程序

在DE2-115开发板上使用SystemVerilog编写流水灯程序

1. 实验目标

本实验旨在通过使用SystemVerilog重新设计和实现流水灯程序,并在DE2-115开发板上进行验证。同时,使用testbench进行仿真以确保设计的正确性。

2. 实验器材

  • DE2-115开发板
  • Quartus Prime开发软件
  • ModelSim仿真软件

3. SystemVerilog代码

3.1 流水灯设计
systemverilog复制代码module led_shift (
    input logic clk,       // 时钟信号
    input logic rst_n,     // 复位信号(低有效)
    output logic [7:0] led // 8位LED输出
);

    logic [23:0] counter;  // 24位计数器,用于产生慢时钟信号

    // 计数器逻辑
    always_ff @(posedge clk or negedge rst_n) begin
        if (!rst_n)
            counter <= 24'd0;
        else
            counter <= counter + 1;
    end

    // LED流水灯逻辑
    always_ff @(posedge counter[23] or negedge rst_n) begin
        if (!rst_n)
            led <= 8'b0000_0

你可能感兴趣的:(fpga开发,单片机,嵌入式硬件)