vivado学习——在线调试

在线调试有多种方式,这里介绍一种快速入门的方式,添加lia核。ChipScope Pro集成逻辑分析(ILA)IP核是一个可定制的逻辑分析核,用于监视设计中的内部信号。通过在RTL设计中嵌入ILA核,可以抓取信号的实时波形,帮助我们定位问题。

vivado学习——在线调试_第1张图片

点击PROJECT MANAGER中的IP Catalog,

vivado学习——在线调试_第2张图片

在IP Catalog的Search中输入ila,双击Debug &Verfication——Debug——ILA(Integrated Logic Analyzer),

vivado学习——在线调试_第3张图片

在General Option界面中,可以修改例化名称,也可以使用默认名称,修改探针的个数,勾选上Capture Control和Advanced Trigger,

vivado学习——在线调试_第4张图片

Probe_Ports(0..4)界面修改探针的宽度,点击OK,

你可能感兴趣的:(vivado学习,fpga)