quartus联合modelsim联合仿真

一、安装、破解

这里安装的是quartus13.1和modelsim10.6d,具体破解网上有什么教程,这里不再赘述

二、联合仿真

  • 配置quartus

quartus联合modelsim联合仿真_第1张图片

在Tools->License setup里面的EDA Tool Options进行modelsim的关联配置

  • 新建工程、编写.v文件

  • 进行start compilation进行全文件的编译

  • testbench的生成

quartus联合modelsim联合仿真_第2张图片

  • 修改生成testbensh

在上一步的生成\simulation\modelsim\里面的:模块名.vt里面进行修改具体仿真细节

  • 配置testbench

quartus联合modelsim联合仿真_第3张图片

在项目右击setting进行设置

quartus联合modelsim联合仿真_第4张图片

在simulation里面Tool name:选择Modelsim;然后选择红色框地方进入Test Benches进行具体设置。

quartus联合modelsim联合仿真_第5张图片

1:选择前面修改的生成testbench文件,然后Add加入即可;

2:填入testbench文件里面的测试模块的模块名:xxx_vlg_tst

3:填入testbench文件的文件名:xxx.vt

  • 启动仿真

1:无时延仿真

2:有时延仿真

  • 查看仿真波形

quartus联合modelsim联合仿真_第6张图片

利用放大、缩小查找合适位置查看仿真出来的波形。

你可能感兴趣的:(IC)