ADI官方例程HDL和No-OS生成Vivado工程

源码下载

1、HDL(hdl-hdl_2019_r2.zip):https://github.com/analogdevicesinc/hdl/tree/main
2、No-OS(no-OS-2019_R2.zip):https://github.com/analogdevicesinc/no-OS/tree/main

软件工具

序号 名称 说明
1 Vivado 2019.1
2 Cygwin

Cygwin安装

1.安装步骤

下好之后双击打开,就是这个界面了,直接点下一步。
ADI官方例程HDL和No-OS生成Vivado工程_第1张图片
选择第一个,然后下一步。
ADI官方例程HDL和No-OS生成Vivado工程_第2张图片
然后就是你想要安装在哪了,下面的选项好像会决定环境变量存放在哪,不过一般都是个人电脑选择第一个默认的就行,然后点下一步。
ADI官方例程HDL和No-OS生成Vivado工程_第3张图片
然后是组件包的安装位置,涉及到后面的选择,这里我选择同一个目录。
ADI官方例程HDL和No-OS生成Vivado工程_第4张图片
然后选择网络连接方式,一般选择第二个直连就行,点击下一步。
ADI官方例程HDL和No-OS生成Vivado工程_第5张图片
这一步是选择下载源,一般都是选择国内镜像,因为国外的源太慢了,这里选择阿里的镜像,如果没有这个选项可以在下面的User URL里添加就行,选择好源后然后点击下一步。下一步会从你选择的源上下载软件包目录,如果提示Unable to get setup from https://xxxxxx/,返回上一步,选择别的源,例如第二个163网易的源。
ADI官方例程HDL和No-OS生成Vivado工程_第6张图片
这是最重要的一步,选择你要下载的软件包。这里为了使用gcc,必须要安装的四个:
1 binutils
2 gcc
3 gcc-mingw
4 gdb

可以在Search栏里直接搜,(实时联想,输入啥下面显示啥,不用按回车。)

ADI官方例程HDL和No-OS生成Vivado工程_第7张图片
搜索到之后,直接在右边的skip,双击,就会显示出版本号,就代表已经加入要安装的列表里了。
ADI官方例程HDL和No-OS生成Vivado工程_第8张图片
其他三个一样,出现版本号的是要安装的,其他不用点。

ADI官方例程HDL和No-OS生成Vivado工程_第9张图片
ADI官方例程HDL和No-OS生成Vivado工程_第10张图片
ADI官方例程HDL和No-OS生成Vivado工程_第11张图片
然后就是两个确认你要安装的软件包的页面了,全都直接下一步就可以进入安装界面了,选择了阿里镜像源还是很快的。
ADI官方例程HDL和No-OS生成Vivado工程_第12张图片
ADI官方例程HDL和No-OS生成Vivado工程_第13张图片
下载完毕后会出现两个选项,都勾上,然后点完成,安装就完成了。
ADI官方例程HDL和No-OS生成Vivado工程_第14张图片
验证一下,打开上面的图标,输入cygcheck -c cygwin,出现这个就说明成功了。
ADI官方例程HDL和No-OS生成Vivado工程_第15张图片
再试试gcc、gdb、ld等有没有安装成功。
ADI官方例程HDL和No-OS生成Vivado工程_第16张图片

2.配置环境变量

在系统环境变量的Path中把cygwin安装路径根目录下的bin和sbin路径添加进去。
ADI官方例程HDL和No-OS生成Vivado工程_第17张图片

ADI官方例程HDL和No-OS生成Vivado工程_第18张图片

3.配置Vivado路径

打开Cygwin安装目录下的C:\cygwin64\etc\bash.bashrc文件,添加一下两行配置;

export PATH=$PATH:/cygdrive/c/Xilinx/Vivado/2019.1/bin
export PATH=$PATH:/cygdrive/c/Xilinx/SDK/2019.1/bin

输入which vivado指令验证配置结果,出现vivado路径说明配置成功;
ADI官方例程HDL和No-OS生成Vivado工程_第19张图片

HDL工程编译

管理员权限打开Cygwin,路径切换到HDL需要编译的D:\hdl-hdl_2019_r2\projects\adrv9361z7035工程路径,输入make,回车开始编译。
ADI官方例程HDL和No-OS生成Vivado工程_第20张图片

No-OS工程编译

把上一步HDL工程编译生成的system_top.hdf文件复制到D:\no-OS-2019_R2\projects\ad9361目录;
管理员权限打开 Xilinx Software Command Line Tool 2019.1命令行窗口,路径切换到D:\no-OS-2019_R2\projects\ad9361目录;输入make,回车开始编译。
ADI官方例程HDL和No-OS生成Vivado工程_第21张图片

参考链接

1、Cygwin安装教程
2、Vivado搭建工程
3、Windows下构建AD9361 Vivado工程

你可能感兴趣的:(FPGA,ADI,HDL,Vivado,fpga开发)