什么是Shmoo图和Shmoo测试

原文链接:Understanding Shmoo Plots and Various Terminology of Testers

Shmoo测试是芯片测试中一种常用的技术手段,其方法是选取两个与芯片性能相关的指标,如最大工作频率与电源电压,分别在两个维度上对这两个指标进行扫描,并在X-Y的二维坐标系中对扫描的结果进行显示,从而可以比较直观地显现这两个被选取变量之间的相互关系。

前言

测试的基本原理是检查应用于芯片作为输入的二进制响应是否与输出的比较值相匹配。如果响应匹配,电路将被认为是好的。芯片的质量取决于测试的彻底程度。在超大规模集成电路(VLSI)中,自动测试设备Automatic Test Equipment(ATE)克服了这个问题。

在芯片的最终制造过程中涉及到几种类型的测试,例如,特性测试(characterization)、生产测试(production)、老化测试(burn-in)等等。对于生产测试来说,第一个测试被称为晶片分类或探针,用来区分好的设备和有缺陷的设备。一旦确定了好的设备,就可以切割晶圆并将设备封装。

如今,随着设备的尺寸/逻辑变得越来越大,工程师们更加关注于测试。随着时间的推移,设计变得越来越复杂,因此测试在时间和成本方面都变得具有挑战性。为了达到良好的产量,DFT工程师提供了不同的测试和载体。在整个过程中,芯片测试时的失败被分析和调试,这样我们就不会失去对产量的把握。在这种情况下,Shmoo图有助于快速了解什么可能是故障,以及在安装中在哪里查找以便进一步调试。

Shmoo简介

随着技术的进步,我们已经降到5nm技术节点,但这样,电路更容易出现缺陷。在芯片进入市场之前,它要经过各种测试。其中包括连续性检测(continuity check)、边界扫描链测试(boundary scan chain test)、ATPG测试、老化测试(Burn-in test)、压力测试(stress test)等。 

为了使芯片准备生产,我们需要提供不同的模式集,如 chain, stuck at ,transition,IDDQ矢量。例如,chain test将保证芯片的完整性;stuck at vectors检查是否有节点卡在0或1上,同样,所有向量在设备测试中都有它们的重要性。

IC测试是必须的,以验证设计在所有工艺角落是否稳定,并帮助提高良率(yield)。当大量生产集成电路时,经济上是有益的,因此必须事先进行验证。

Shmoo可以被证明是一种很有前途的方法来优化设计验证。例如,你面临hold time violations,在这种情况下,通过查看ATE日志,我们不能预测可能是失败的问题,但通过查看Shmoo图,我们肯定能找到问题。因此,从Shmoo test获得的经验可以用来优化工艺,设计和最终的测试方案。

什么是Shmoo

尽管Shmoo的起源尚不清楚,但在1966年的一篇IEEE论文以及其他一些参考文献和手册中都有提到。

翻阅这些参考文献,你可能会发现“罗伯特·休斯顿”这个名字,他被认为是Shmoo的发明者。据说,这部电影的名字取自ALCapp在卡通片《Li'l Abner》中创造的虚构物种Shmoo。这幅漫画出现在画面中,因为它有一个斑点状的结构,这非常类似于由Shmoo图围绕三个独立变量绘制的体积,如频率、电压和温度(frequency, voltage, and temperature)。

什么是Shmoo图和Shmoo测试_第1张图片

 Shmoo图在调试中起着至关重要的作用。这些图有助于确定被测电路中的电气故障。测试工程师在不同的工艺条件不同的电压频率组合下,测试DFT工程师提供的图形。然后将结果以shoerror日志和Shmoo图的形式发送出去,然后对这些数据进行分析,以找出根本原因。

Shmoo图通常用于代表复杂电子系统的测试结果,例如计算机或集成电路,例如DRAMASIC微处理器。 该曲线通常显示在测试所测试的装置的条件范围(遵守一些剩余的规格集)。

例如,在测试半导体存储器时:电压温度时钟频率可以在指定范围内变化,只有这些因素的特定组合才能使设备工作。绘制在独立的坐标轴上(电压、温度、时钟频率),工作值的范围将包含一个三维的、通常形状怪异的体积。其他可以改变的条件和输入的例子包括频率、温度、定时参数、系统或组件特定变量,甚至在硅芯片制造过程中可调整的不同旋钮,这些旋钮可以产生不同质量的部件,然后在工艺中使用。

通常一个“旋钮”或变量被绘制在一个轴上,另一个轴上的旋钮或变量被绘制在另一个轴上,生成一个二维图形。这使得测试工程师能够直观地观察被测设备的操作范围。这种改变元件或系统的条件和输入的过程有时被称为“平滑”,但更正式的说法是电气测试或鉴定。自动测试设备通常包含允许自动平滑零件的软件功能。

自动测试设备传统上生成了使用“X”的SHMOO图的二维ASCII形式,以表示非功能点的功能点和空白空间。 在现代的时代,具有两种颜色(例如,红色/绿色)甚至是数字传播板文件形式的多色图,即使传统形式仍在使用,也很常见。对于测试效率,有时只有感兴趣的边界(其中某个值改变其状态),因此(通常合理地)备份图中的数据(通常合理地)假设这些转换之外的区域将保持在那些状态之外。

如果要测试两个独立变量的足够范围的范围,则正常的Shmoo Plot将显示出一些形状的操作包络,而不是与Al Capp的Shmoo不同,但在实践中,这可能会损坏测试的设备和更精细的视图,特别是关注已发表的组件边距(例如, - 5%VCC)。 当这完成时,操作包络通常延伸到一个或多个方向上的曲线的边界。

这种“平滑”的一个例子是在IBM S/360 Model 65 Central Processing Unit (CPU)中优化只读存储(ROS)的两个操作变量的过程。

如下图,当CPU运行一个诊断测试程序时,ROS的偏置电压时钟频率是可变的,分别为横纵坐标。在某个特定电压和频率下,如果诊断测试程序通过了,则标记为绿色(pass),如果未通过,则标记为红色(fail)。在一定电压范围和频率范围下,连续地运行诊断程序,最后根据结果,可以绘制如下的shmoo图。

为了通过测试,shmoo图必须足够大,以包含一个矩形,该矩形代表最小允许偏差电压和时间延迟的无误差范围。最佳ROS偏置电压和时间延迟将由矩形中心的一个点表示。

什么是Shmoo图和Shmoo测试_第2张图片

 有时Shmoo Plot具有一种不寻常的形状,而虽然难以确定确切的原因,但有时由于一些不寻常的缺陷(可能只是电路的一部分)与其他正常操作相结合。 在其他情况下,它可能是电气测试设置的伪像或所使用的测试程序,特别是竞争条件。 因此,Shmoo Plot可以是有用的测试设置验证工具。

该技术的一个限制是,设备测试持续时间的延长可能会导致额外的设备内部加热,从而导致数据的偏移(图上稍后测试的单元可能比之前测试的单元表现得更差)。避免这种情况的一种方法是在实际的shmoo测试之前,以类似的方式彻底地使用该设备

Shmoo的类型

Normal Shmoo 一般Shmoo

这也被称为表现良好的Shmoo。Normal Shmoo是根据电压和频率绘制的。从下图中可以看出,当我们向x轴方向右移时,频率增加,可以说设备工作在更高的频率上。类似地,当我们开始向上移动y轴电压增加。

什么是Shmoo图和Shmoo测试_第3张图片

 Brick wall Shmoo

Brick wall Shmoo描述了芯片的双稳态初始化问题。这主要发生在第一次或第二次初始化是随机的情况下。例如,没有为其定义重置值的寄存器可以接受任意值0或1进行初始化。考虑一个场景,当一个设备可能第一次失败,但它可能通过了第二次测试。所以我们可以推断出这可能是由于一个或多个寄存器引起的问题。

什么是Shmoo图和Shmoo测试_第4张图片

 什么是Shmoo图和Shmoo测试_第5张图片

Wall Shmoo

 Wall Shmoo描述了在一定电压下的故障,而不考虑频率的任何变化。这种Shmoo导致了噪声耦合、竞态条件和电荷共享的问题。较高的DI / DT(较高电感)和DV / DT(高电容耦合)会加剧噪声。较高的电压意味着电路工作得更快,这可能导致保持违规的问题,即在错误的时间锁存数据。由于噪声引起的故障也可能发生在非常低的温度下,也可能发生在非常高的温度下,这取决于电路。

什么是Shmoo图和Shmoo测试_第6张图片

什么是Shmoo图和Shmoo测试_第7张图片

Floor Shmoo 

Floor Shmoo表示电路工作在高频率而不是低频率的图。它也是漏电问题的一种变体,与电压变化无关。在较低的频率,当泄漏存在,并且没有其他电路是主动的,电路有足够的时间泄漏。这也表明了时间问题。对于更高的温度,泄漏变得更容易随着热增加阈下泄漏在FET。

什么是Shmoo图和Shmoo测试_第8张图片

什么是Shmoo图和Shmoo测试_第9张图片

随着技术的进步,我们大大缩小了芯片的尺寸,但这反过来又给测试和调试带来了许多挑战。Shmoo可以帮助您解决与设计验证相关的复杂问题。使用Shmoo图,我们可以快速发现漏洞,优化过程,设计和最终测试程序。

The Sensitivity Function in Variability Analysis, Charles Belove, IEEE Transactions on Reliability, Volume R-15, Issue 2, August 1966.

Understanding Shmoo Plots and Various Terminology of Testers

Using ICEasy's Shmoo Plot Tool with ATEasy | Marvin Test Solutions, Inc.

你可能感兴趣的:(ARM,SoC,Debug,SoC,性能测试,芯片测试)