PT使用介绍

#自动获取项目根路径
set dep [exec depth]
#db库所在目录
set lib_path ../../lib
#db库文件名称
set lib 011N_STD_7T_WORST.db.db
#cond 设置条件电压温度等
set cond N40CFF1P98
 一些文件(.spef rc参数/约束文件.sdc/*.v网表pr文件)
set spef $dep/sta/db/nv2049b1n_digital_top_min.spef(连接线的寄生电容电阻)
set pr_netlist $dep/sta/db/nv2049b1n_digital_top_pr.v(后端的网表文件innovus)
set sdc_file $dep/sta/db/s_2pair_ispclk.sdc(约束文件sdc)

#截取一段正常工作的波形,比如2~3行
set vcd_file $dep/sta/db/NV2049_min.vcd

 rtl top相对于tb的路径,用于从vcd中找到信号
set strip_path tb/dut/I0/I9

#设置rtl顶层模块名称
set top nv2049b1n_digital_top

设置工艺库
set search_path [list "* $lib_path $search_path"]
set link_path "* $lib"
设置功耗分析option
set_app_var power_enable_analysis true
set_app_var power_analysis_mode averaged
set_app_var si_enable_analysis TRUE
读入verilog网表
read_verilog ${pr_netlist}
current_design $top
link
设置corner
set_operating_conditions -analysis_type single ${cond}
读入spef、sdc、vcd
read_parasitics -keep_capacitive_coupling -format SPEF $spef
read_sdc -version 2.0 ${sdc_file}
read_vcd -strip_path ${strip_path} ${vcd_file}
分析timing和功耗
update_timing
update_power
report_power

PT使用介绍_第1张图片

你可能感兴趣的:(数字设计EDA,数字设计)